Современная электроника №3/2023
ПРОЕКТИРОВАНИЕ И МОДЕЛИРОВАНИЕ 12 WWW.SOEL.RU СОВРЕМЕННАЯ ЭЛЕКТРОНИКА • № 3 / 2023 Библиотеки HDL- тестов для систем моделирования цифровой аппаратуры . ОтечественнаяСАПРпроектированиямикроэлектроники . Часть 1 В статье рассматриваются вопросы создания HDL- тестов для верификации работы отечественной системы цифрового проектирования и моделирования Delta Design Simtera компании ЭРЕМЕКС . Никита Малышев ( ЭРЕМЕКС ) , Аркадий Поляков ( НИУ МЭИ ) Предисловие Уважаемые читатели , коллеги . Пер - вой статьёй о библиотеках HDL- тестов для систем моделирования цифровой аппаратуры мы открываем серию ста - тей по работе с отечественной систе - мой цифрового моделирования . В ста - тьях мы расскажем о развитии системы за последние годы , а также о пользова - тельском опыте , особенностях и взаи - модействии компании и разработчи - ков ПЛИС и СБИС по оптимизации функциональности системы . Авторы серий статей : ● Малышев Никита Максимович – ведущий разработчик Delta Design Simtera компании ЭРЕМЕКС ; ● Поляков Аркадий Константинович – кандидат технических наук , доцент кафедры « Вычислительные машины , системы и сети » Московского энер - гетического института ( НИУ ), лау - реат премии Фонда развития МЭИ « Почёт и признание поколений », в конце 90- х и начале 2000- х годов – ведущий инженер компаний SEVA и INTRINSIX в Кремниевой долине США . Благодаря активному взаимо - действию авторов на протяжении трёх лет , начиная с выхода первых альфа - версий Delta Design Simtera, накопилось множество материалов , которыми мы решили поделиться и рассказать о сложностях разра - ботки САПР и альфа - тестирования системы . Введение Подавляющее число систем цифро - вого моделирования , базирующихся на HDL- языках описания аппаратуры (VHDL, Verilog, SystemVerilog), раз - работаны в США фирмами Synopsys, Cadence, MentorGraphics, Xilinx, Aldec и др . Если в СССР в своё время имелось несколько систем моделирования , базировавшихся на отечественных высокоуровневых языках моделиро - вания цифровой аппаратуры типа МОДИС - ВЕС , Алгоритм и др ., то после распада страны в 90- х годах работы в этой области почти не велись . Система цифрового моделирования Delta Design Simtera ( далее Simtera) компании ЭРЕМЕКС [1] является пер - вой из отечественных систем , базирую - щихся на HDL- языках описания аппа - ратуры (VHDL, Verilog, SystemVerilog) и их расширениях , ориентированных на моделирование аналоговых систем : Verilog-AMS. Simtera в самом начале пути свое - го развития позиционировался как модуль цифрового моделирования в составе программного пакета Delta Design – комплексной среды сквоз - ного проектирования электронных устройств и в том числе печатных плат . Она включает в себя множество отдель - ных модулей – начиная от ведения библиотек и заканчивая подготовкой данных для производства . Развитие программного пакета Simtera нача - лось ещё в начале 2010- х годов ( сам DeltaDesign и топологический роу - тер TopoR – ещё десятилетием ранее ), до первых западных санкций . Тогда Simtera представлял из себя отдель - ный программный пакет по моделиро - ванию VHDL- кода . С тех пор позицио - нирование этого продукта изменилось в сторону расширения функциональ - ности ввиду спроса и внешних обсто - ятельств . Это и расширение набора поддерживаемых HDL, и подключе - ние системы синтеза и имплемента - Таблица 1. Коммерческая библиотека BEACON для верификации систем цифрового моделирования Название блока библиотеки BEACON Назначение и количество тестов Beacon-SV Тесты SystemVerilog – более 5000 тестов проверки САПР на реализацию различных версий языка SystemVerilog стандартов IEEE 1800-2012, IEEE 1800-2009, IEEE 1800-2005 Beacon-SV MX Тесты SystemVerilog/VHDL – более 1500 тестов проверки на реализацию смешанных SystemVerilog/VHDL описаний Beacon-MX Тесты Verilog/VHDL – более 700 тестов проверки САПР на реализацию смешанных Verilog/VHDL описаний Beacon-PSL Тесты PSL (PSL язык спецификации свойств ) – более 2000 тестов проверки САПР на реализацию специальных конструкций Verilog и VHDL на соответствие стандарту PSL IEEE 1850-2005 Beacon VHDL-2008 Тесты VHDL-2008 – более 3000 тестов проверки САПР на реализацию стандарта VHDL IEEE 1076-2008 Beacon-RTL-VHDL Тесты RTL VHDL – более 2100 тестов проверки САПР на реализацию / не реализацию синтезабельных подмножеств VHDL-87, VHDL-93 Beacon-RTL-Verilog Тесты RTL Verilog – более 2100 тестов проверки САПР на реализацию / не реализацию синтезабельного подмножества Verilog IEEE 1364-1995, IEEE 1364-2001
RkJQdWJsaXNoZXIy MTQ4NjUy